4月1日
 
在线报名开始  
6月17日
 
复赛名单公布啦  
大赛论坛
 

2010 Altera
亚洲创新设计大赛讨论区

 
奖项设置及说明
关于复赛资格的公告
9 月 6 日
 
决赛名单公布啦  
9 月 28 日
 
新增决赛资格公告  
9 月 29 日
 
获奖名单公布咯  
12 月 17 日
 
台湾大学电机系学生勇夺首届两岸创新大赛总冠军  
 
 
 

Alter DE0多媒体开发平台是一套轻薄型的开发板,但必要的开发工具、参考设计和相关配件均一应俱全,相当简单、容易上手,非常适合初学者用来学习FPGA逻辑设计与计算机架构。DE0搭载了Altera Cyclone III 系列中的EP3C16 FPGA,可提供15,408 Les(逻辑单元)以及346 I/O,此外,DE0开发板还搭配了丰富的周边装置,可适用于大学或专科学校的教学课程,并足够开发复杂的数位系统。

 
   
 
FPGA
 
Altera Cyclone III 3C16 FPGA (含有15,408 逻辑单元)
內建56 个M9K 记忆体模块,56 个乘法器
504K RAM bits,4 PLLs,346 I/O 脚,484-ball FPGA
   

I/O Devices

 
2个40-pin扩充接头,共有 72 I/O 脚位可应用
内建 Altera 的 USB Blaster 电路:使用于FPAG 程式下载或控制
串列传输埠 (Serial Ports):RS-232讯号接脚,用于40-pin 扩充槽的排线可利用 IDE 硬碟专用的40-pin 排线
VGA 输出:4-bit 电阻式 DAC (数位类比转换),15-pin D型接头,最高可支援 1280x1024 每秒60幅
PS/2 连接器(若要同时接鼠标与键盘可使用PS/2 Y Cable 來扩充)
Clock 输入:50-MHz 振荡器
   

Memory

 

8 MByte 同步动态随机记忆体 (SDRAM)

4 MByte 快闪记忆体 (Flash)(支援 Byte (8-bits) 以及 Word (16-bits) 模式)
SD card socket:支援SPI 以及 SD 1-bit 两种SD Card 读取模式
SD 卡插槽
   

Other Devices

 

3 个按钮开关

10 个滑动开关
10 个绿色LEDs
4 个七段显示器
16x2 LCD Interface (Not include LCD module)
   
 
 
 
      DE0 相关资料下载
 
 
 
 
 大赛联络方式:
 Email: china@innovateasia.com
  竞赛概况 | 竞赛规则 | 比赛平台 DE0  | 资源下载 | 在线注册 | 答疑解惑